Webpack ISE 8 and Vertex4 XC4VLX60

The free Xilinix ISE doesn't seem to support Vertex-4 LX60. How shoold Igo about writing a design for it?

Thank you

Reply to
Vassili Savinov
Loading thread data ...

about writing a design for it?

--
 __
/ /\/\ Aurelian Lazarut
 Click to see the full signature
Reply to
Aurelian Lazarut

about writing a design for it?

Buy the full version?

Jon

Reply to
Jon Beniston

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.