I see that to run a process from an other process can be avoided (Like I do now) so I tried to put everything in the same process, same warnings.
////////////////////////// Warnings ////////////////////// WARNING:Xst:647 - Input is never used. WARNING:Xst:647 - Input is never used. WARNING:Xst:647 - Input is never used. WARNING:Xst:646 - Signal is assigned but never used. WARNING:Xst:646 - Signal is assigned but never used. WARNING:Xst:646 - Signal is assigned but never used. ////////////////////////////////////////////////////////////////
////////////////////////// CODE /////////////////////////// entity RS232 is Port ( reset : in std_logic; clk : in STD_LOGIC; rx : in STD_LOGIC; PData : out STD_LOGIC_VECTOR (7 downto 0); PDataAcc : out STD_LOGIC); end RS232;
architecture Behavioral of RS232 is
signal RPData : std_logic_vector(9 downto 0); signal CountReg : std_logic_vector(12 downto 0); signal SmallCountReg : std_logic_vector(3 downto 0); signal RPDataAcc : std_logic;
begin
SmallClkPros : process(clk, reset) begin if(reset