warning from ISE 9.2

Hi, waht does that mean :

Loading device for application Rf_Device from file '3s200.nph' in environment /home/thorsten/Xilinx92i. WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block .

========================================================================= Advanced HDL Synthesis Report

??

Regards TK

Reply to
Thorsten Kiefer
Loading thread data ...

The warning appears only of I prepend a '1' to b_reg : b_next

Reply to
Thorsten Kiefer

I would suspect that hard-coding that bit to a '1' is causing your code to ignore the value of the entire vector wherever it is used, so it may be getting optimized away. You would really have to look at the rest of your code, and the context of the statement you gave above.

Bang your head against the wall for a while and think about it. Pore over your code. Staring at a problem you don't understand and researching it on your own can be great ways to become a better engineer. If you're still stuck after a couple days of that, come back with more code and anything new you've learned.

Reply to
Dave

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.