I get the following error message.
[Netlist 29-69] Cannot set property 'IOB', because the property does not exist for objects of type 'port'. ["C:/Users/pedro/Xilinx/artix_test/artix_test.srcs/constrs_1/new/top.xdc":7]
Here is my TCL constraints file so far. I just copied the syntax in UG912.
create_clock -period 5.000 -name clk -waveform {0.000 2.500} [get_ports clk] set_output_delay -clock [get_clocks clk] 4.000 [get_ports {led[0] led[1] led[2] led[3]}] set_input_delay -clock [get_clocks clk] 3.000 [get_ports enable] set_property IOB TRUE [get_ports {led[0] led[1] led[2] led[3]}]