Virtex4 FX PPC and Fsl

Hi,

I have the following problem: I have designed a peripheral with a Fsl bus. It works fine when I create and EDK Project with micoblaze. Now I wanted to switch to a Virtex 4 with a Power PC. I know the there exists and Fcb2Fsl bus brigde. I searched the xilinx web site and google for some examples or tutorial how to use and fsl peripheral with the PPC. UnfortunatelyI couldn't find any so far. Reading the datasheets I found I tried the following:

I created an EDK project using PPC. I added and FCB Bus, a Fcb2Fsl bus bridge, 2 Fsl Buses (one for slave one for master) and my peripheral. I connected them also in this order. so far the hardware synthesizes but i cant talk to my peripheral. With microblaze there existed a Fsl bus slot that was defined in parameters.h. But I can't find something similar for the Fsl bus when I'm using the PPC. (I understand that the PPC doesn't have a direct Fsl bus integrated). But shouldn't there be something similar? Like a slot id for the Bus bridge or the Fcb bus? Can somebody point me into the right directions? Perhaps a code sample or a tutorial?

And hints would be appreciated thanks Urban

Reply to
u_stadler
Loading thread data ...

Hi, I'm the same problem that you, I can't connect PPC with FSL. I succed to connect Microblaze with HW block, but using PPC it isnt the same case. Can you help me by disceape me solution that you have found.

think you

Reply to
damak.taheni

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.