virtex2.components.all

Hi,

How do I get this library trough compilation. When I include this in my vhdl it gives an error with compilation. If I leave it out synplify giveas an error with synthesis. And I don't like to manual add this to the vhdl every thime.

Bram

Reply to
van de Kerkhof
Loading thread data ...

Use the unisim library instead.

library unisim; use unisim.vcomponents.all;

the "virtex2.components" package is only there for compatability with old projects.

- Ken

van de Kerkhof wrote:

Reply to
Ken McElvain

vhdl

like

Reply to
Symon

Hi,

The solution of ken doesn't work i still het the same error.

Error Code @E:Internal Error

The lut has an init value.

When i add the virtex2 library this is gone, but with vcomponents it is still there.

Bram

my

Reply to
van de Kerkhof

Can you tell me which version of Synplify you are using?

7.7 is the current release.

Make sure you are not including a unisim.vhd file from anywhere in your project. Synplify will automatically use a pre-compiled version with some mapping attributes. If you include the unisim simulation library, then those attributes will be missing.

- Ken

van de Kerkhof wrote:

Reply to
Ken McElvain

Hi,

I added the unisim and it works now.

Thanks

with

to

Reply to
van de Kerkhof

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.