VHDL Micron memorymodel.

I have the Spartan 3e starter kit. it has this model RAM on it (MT46V32M16). I have generated the memory controller for it, but I would like to play with it on ModelSim first to help me understand DDR SDRAM operation. I am reading the spec sheet, but I would like to see it work in ModelSim also.

I looked on the Micron site and they said that they don't do VHDL memory models any more. Has anyone got any clues as to where I could find a memory model for the DDR SDRAM that is on the Sparten 3e kit?

David

Reply to
Bucephalus
Loading thread data ...

I don't suppose you could live with the Verilog model? If your project is in VHDL you'd need a mixed language simulator. Otherwise I'd suggest finding another DDR SDRAM vendor who supplies VHDL models, but my first attempt at finding one (Samsung K4H511638D) revealed another company that provides only Verilog models. Maybe a European memory maker? Is there one? Here in the US Verilog is more popular for system-level simulation ;)

Reply to
Gabor

Hynix still have VHDL models for DDR and DDR2 memories that match this Micron device. Since the DDR(2) behaviour is JEDEC-standardised, the difference in manufacturer should not matter unless you use some obscure feature that's supported by Micron but not by Hynix (I'm not aware of any such; you would need to read the data sheet carefully).

I think the MT46V32M16 is 32M x 4 banks x 16 bits, DDR (not DDR2). If I'm right, then you need this file (sorry about the 3-line link):

formatting link
?path=/datasheet/simo/new/ DDR_PC_32Mx16_HY5DU121622A(L)T_VHDL(Rev0.1).zip but you can find the whole set at

formatting link

Everyone else (Micron, Qimonda) seems to have stopped supporting VHDL models. Hynix don't have VHDL for their newest parts (DDR3).

Since the models are quite big and complex, you may find that the free Modelsim Xilinx edition will slow down to a crawl if you try to simulate them. It's worth a try, though.

--
Jonathan Bromley, Consultant

DOULOS - Developing Design Know-how
VHDL * Verilog * SystemC * e * Perl * Tcl/Tk * Project Services

Doulos Ltd., 22 Market Place, Ringwood, BH24 1AW, UK
jonathan.bromley@MYCOMPANY.com
http://www.MYCOMPANY.com

The contents of this message may contain personal views which 
are not the views of Doulos Ltd., unless specifically stated.
Reply to
Jonathan Bromley

Thanks for the help guys. I will look at these models tomorrow. Maybe if verilog is more popular and there is more support for it, I think I will start learning it. cheers David

Reply to
Bucephalus

Am I missing something? I can't seem to find any vhdl in that link you sent me. The hynix ram. This is the second time I have tried that ram actually because I went to hynix yesterday and I sourced that file. I couldn't find any VHDL in there, just like now when I downloaded the link. Am I supposed to run one of those other files to generate it?

David

Reply to
Bucephalus

No, you're not; I was missing something - the fact that Hynix don't publish the source code, but only the models compiled for a specific version of a simulator.

The compiled library units for ModelSim are clearly there, but since their creation date is 2003 it seems likely that it won't work with the version of ModelSim you're using now.

Similarly, the compiler output for VCS is there (the .o files).

Apologies for the red herring.

--
Jonathan Bromley, Consultant

DOULOS - Developing Design Know-how
VHDL * Verilog * SystemC * e * Perl * Tcl/Tk * Project Services

Doulos Ltd., 22 Market Place, Ringwood, BH24 1AW, UK
jonathan.bromley@MYCOMPANY.com
http://www.MYCOMPANY.com

The contents of this message may contain personal views which 
are not the views of Doulos Ltd., unless specifically stated.
Reply to
Jonathan Bromley

I was asking Mentor Graphics support some questions about ModelSim compiled models recently, and I was told that latter versions of ModelSim can use compiled models created by an earlier version by using the refresh command. I was also told that SE can use models compiled by PE.

I did not ask if there was a limit to how big of a difference there could be between the versions, but you might try getting the compiled models and refreshing them with your copy of ModelSim and see if they will work.

I also did not ask if the Xilinx specific version of ModelSim could use models compiled by PE or SE because we already need a mixed language version with the Swift Model support.

Regards,

John McCaskill

formatting link

Reply to
John McCaskill

Modelsim is a mixed language simulator, and you don't really need to understand the insides of the model to simulate your controller.

Just instantiate the Verilog models in your test bench. FWIW, the Micron models are good enough that 99.99% of the errors you will find are in your controller. (BTDT :)

G.

Reply to
ghelbig

I tried that, a couple of years ago; instead of working, Modelsim told me it wanted an expensive upgrade to the license.

So I went with the Hynix models, which aren't as nice (being precompiled, no source to inspect) but worked just fine.

If there aren't any DDR3 models in VHDL that's going to become a problem though.

- Brian

Reply to
Brian Drummond

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.