Hi there - I am slowly teaching myself VHDL this weekend. I am getting an error that I do not understand: "parse error, unexpected IF". My very simple code is at the bottom of this post, and the error is being caused by the "if switches(0)=0 then" line. Can somebody tell me what I'm doing wrong? I'm sure it's terribly simple - but coming from a C background I am having trouble understanding what I'm doing wrong.
Thanks!
-Michael
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity hello_world is port ( clk, enc_a, end_b : in std_logic; switches : in std_logic_vector (3 downto 0); led : out std_logic_vector (7 downto 0) ); end hello_world;
architecture rtl of hello_world is signal cnt : std_logic_vector (30 downto 0); signal enccnt : std_logic_vector (7 downto 0); begin process(clk) begin if rising_edge(clk) then cnt