Verifying a Bidirectional Data Bus

I have had reasonable success verifying some designs using behavioral verilog and modelsim. I seem to have trouble with bidirectional data buses. I have a handful of verilog books, but none of their simulation examples use bidirectional buses. Someone told me I must use a transactor? If anyone can point me to a text or has any tips it would be appreciated.

Reply to
pete o.
Loading thread data ...

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.