vcom in modelsim

Hi,

is it possible to compile only the files that needs to be compiled. I have a script which compiles a set of vhdl files, but if I do a change in the last vhdl file in the list, only this file needs to be recompiled. Is there an option for vcom that gives this desired behaviour?

TIA, Frank

Reply to
Frank van Eijkelenburg
Loading thread data ...

Emacs vhdl-mode commands vhdl-generate-make-file and vhdl-make will do the job. Or the modelsim program vmake along with the OS program make will do what you want.

-- Mike Treseler

Reply to
Mike Treseler

Try Googling for "modelsim vmake". vmake is a Modelsim command that generates a makefile. Haven't used it myself, but I know such feature exists.

See for example

formatting link

HTH

-- JS

Reply to
John Smith

That's true.

1) remove your old library

2) compile sources with your script (compile not simulate)

3) vmake > Makefile ( ===> create a Makefile from Library)

4) every new compilation can be started with "make" (only changed files will be compiled)

BR, Chris

Reply to
Chris

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.