type states is std_logic_vector(4 downto 0);

Hi everyone,

why doesn't this synthesize:

architecture Behavioral of datacontroller is type states is std_logic_vector(4 downto 0); constant stateStart : states := "00001"; constant stateWait : states := "00010"; constant stateTrigger : states := "00100"; constant stateHold : states := "01000"; constant stateRead : states := "10000";

signal holdoff : std_logic; signal holdoff_counter_enable, holdoff_counter_reset : std_logic;

component counter19bit Port ( clk, ce, reset : in std_logic; preset : in std_logic_vector(19 downto 0); c : out std_logic; q : out std_logic_vector(18 downto 0)); end component; begin [SNIP]

It's directly taken from the book "VHDL made easy". I'm using Xilinx Webpack 6.3i!

Thanks Preben Holm

Reply to
Preben Holm
Loading thread data ...

show more of your code because with this we couldn't help you so much, and what are you error message??

"Preben Holm" a écrit dans le message de news:

423883a2$0$29277$ snipped-for-privacy@news.sunsite.dk...
Reply to
KCL

Are you sure? I would expect

subtype states is std_logic_vector(4 downto 0);

regards Alan

--
Alan Fitch
Doulos Ltd
http://www.doulos.com
Reply to
Alan Fitch

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.