Type declarations

I am trying to use the following function defined in the ieee_proposed library

-- to_signed (float) function to_signed ( arg : UNRESOLVED_float; -- floating point input constant size : NATURAL; -- length of output constant check_error : BOOLEAN := float_check_error; -- check for errors constant round_style : round_type := float_round_style) -- rounding option return SIGNED is

I want to test this function. I have given inputs to entity as below

float_in : in std_logic_vector(31 downto 0); signed_out : out std_logic_vector(63 DOWNTO 0);

I want to try

signed_out

Reply to
FPGA
Loading thread data ...

You probably want to include a "use float_pkg.all" or "use whatever_library.float_pkg.all" before your entity declaration.

Alternatively, it might be a typo: your error message has a mis- spelling in it.

- Kenn

Reply to
kennheinrich

Thanks Kenn

Reply to
FPGA

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.