Transforming vector position to binary value

I owe some folk an apology.

When I wrote that, my intent was only to poke mild fun at the fact that Xilinx appnotes are such a pervasive part of the FPGA design culture that they almost *define* what's conventional. But I didn't write it very well, and it was misunderstood as a slur on the quality of Xilinx material. That would have been quite absurd and I unreservedly apologise for any offence.

Xilinx apps people have done us all a great service over the years by sharing a huge variety of tips and techniques (some conventional, some highly creative). I've had many occasions to be grateful for that.

-- Jonathan Bromley, Consultant

DOULOS - Developing Design Know-how VHDL * Verilog * SystemC * Perl * Tcl/Tk * Verification * Project Services

Doulos Ltd. Church Hatch, 22 Market Place, Ringwood, Hampshire, BH24 1AW, UK Tel: +44 (0)1425 471223 mail: snipped-for-privacy@doulos.com Fax: +44 (0)1425 471573 Web:

formatting link

The contents of this message may contain personal views which are not the views of Doulos Ltd., unless specifically stated.

Reply to
Jonathan Bromley
Loading thread data ...

I can't agree with you on this. I fail to see how what you wrote could be offensive, nor do I see how anyone who's in the FPGA world could conclude or extrapolate that something is being said about the quality of Xilinx's app notes. But, then again, I'm biased, I use their chips and love them.

~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ Martin Euredjian

To send private email:

0_0_0_0 snipped-for-privacy@pacbell.net where "0_0_0_0_" = "martineu"

UK

snipped-for-privacy@doulos.com

formatting link

Reply to
Martin Euredjian

Reply to
Peter Alfke

There's nothing conventional about any of this technology. An ex-boss of mine used to say: "The second guy who saw the wheel thought it was obvious". That, by the way, was the only sign of intelligence the guy displayed as far as I know.

~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ Martin Euredjian

To send private email:

0_0_0_0 snipped-for-privacy@pacbell.net where "0_0_0_0_" = "martineu"
Reply to
Martin Euredjian

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.