Temat:Re: Actel A54SX72A - FF with clear and preset? Necessary for triple redundant register

Are you saying that the synthesis uses only C-cells, or C-cells in

> addition > to R-cells. Your fclr and fset inputs require gates, so an R-Cell > could not > implement the logic by itself. I think in Xilinx you would use a LUT > as > well as the adjacent flip-flop to implement each bit.

Well it uses ca. 4 C-cells for each bit. The 8-bit redundant register (requiring 24 single FFs) uses 98 or 100 C-cells! It is way too much...

In fact the Actel documentation ("Actel HDL Coding Style Guide" -

formatting link
page 87) states: [...] Asynchronous Preset and Clear This is the most problematic register for the ACT 2, XL, DX, MX, SX and ACT 3 architectures. You can only use one cell (the DFPC cell) to design an asynchronous preset and clear register. The DFPC uses two CMODs to form a master latch and a slave latch that together form one register. This uses two CMODs per register and offers no logic combinability with the SMOD. The DFPC requires more setup time and no combinability. The net timing loss can often be as high as 10ns. Actel recommends that you do not use any asynchronous preset and clear registers on critical paths. Use a synchronous preset with asynchronous clear or a synchronous clear register instead. You can use an asynchronous preset and clear register if it does not affect a critical path or cause high utilization in the design. [...]

However the above contradicts to the R-cell layout shown in the datasheet, which I've quoted in the first post - the FF in R-cell is equipped with independent Clear and Preset. However, when I've inspected different synthesized project, I've seen that always one of them was tied to ground, so maybe they are not completely indepentent?

Well, if it is impossible to build such flip-flops with the R-cells, and if the price of RT parts is unacceptable, what are the alternatives? Does anybody know if there are some data about radiation hardness of eg. Altera Hardcopy devices??? I've tried to google them, but found almost nothing...

--
Regards, Wojtek Zabolotny
wzab@ise.pw.edu.pl
Reply to
Wojciech Zabolotny
Loading thread data ...

LUT

Actel

Don't know about Altera, but Atmel recently got into the Rad Hard business:

formatting link

Reply to
Gabor

Unfortunately these are SRAM based. One of the Actel's SXA advantages is their nonvolatility...

Regards, Wojtek

Reply to
Wojciech Zabolotny

If you really need asynchronous presets or clears, especially if you need DIFFERENT signals to be these asynch. presets and clears, Xilinx is the only architecture that can handle this. I know they have a line of rad hard parts, too. We have used their parts to implement such oddities as needing several hundred totally asynchronous FFs in a device to delay signals from nuclear particle detectors. At that time, Xilinx was the ONLY device that could implement this, as you could connect the asynch. set and clear, as well as the clock of the flip-flops to any signal on the FPGA. Many other architectures are wired so these inputs can only be tied to some of the global clock or set/reset resources. For normal digital designs, that is adequate, of course.

Jon

Reply to
Jon Elson

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.