Synthesizing VHDL delays [noob]

Hi!

I have a question regarding the VHDL's "after" keyword. I've read that it is not synthesizable but only used for simulation and I was wondering if this is true for real-world programs. I'm working with Xilinx Spartan-2 (so it's Xilinx's systhesizer in question) and I've connected a soft processor to an external memory chip via my VHDL memory controller but the design isn't working if I deselect CS at the end of a cycle. I attempted to create delays using afters, ie. "CS

Reply to
Roland
Loading thread data ...

after is only simulation you must use some clocked process from some clock to generate the required timing antti

Reply to
Antti

Thanx, I'll try that!

- R.

Reply to
Roland

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.