Synthesis question

Hi everyone,

I have a state machine which creates the following INFO when synthesised using Xilinx ISE6.1.

INFO:Xst:1813 - Unable to extract FSM on signal : outputs depend on both state and next state.

Is this something I should be concerned about?

THanks

Reply to
kowari
Loading thread data ...

Not if state and next state are register outputs.

-- Mike Treseler

Reply to
Mike Treseler

Oh ok. Next state is not a registered output. The state machine is a 2 process state machine ie the clocked process registers state from next state, and the next state process is a combinatorial process.

Can you help me to understand the problem and what has been synthesised?

Thanks

Reply to
kowari

Consider posting (part of) your code. It's easier to see what you do and to explain what is going on.

Jan

Reply to
jandc

if you have a combinational process like:

always @(state or [some control inputs] ) begin case (state) state1 : state = blablabla; endcase end

the synthesis tool will never extract a register you should sample the state in a separate process. post a part of your code, this would be more clear.

Vladislav

Reply to
Vladislav Muravin

Consider using a single process.

-- Mike Treseler

Reply to
Mike Treseler

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.