Synchronizing Reset De-assertion

Hi, This may be a basic question. I have read that 'reset' should not be de-asserted asynchronously. To de-assert this synchronously, is there any special circuit needed. I have come across a ciruit, where in it is given that use the synchronizer without reset to synchronize the reset going to main design. Also use as many synchronizers equal to the clock domains in the design. But my doubt is, what is it meant by synchronizer without reset, will the reset be tied to high for these flip-flops. Also, is there any difference in ASIC and FPGA environments in Synchronizing the reset.

Thanks and regards,

Satya

Reply to
Satya
Loading thread data ...

There might be a cpu on your board. Run the fpga on the cpu clock. At boot time, have the cpu load the fpga then provide the reset pulse.

-- Mike Treseler

Reply to
Mike Treseler

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.