Synapticad BugHunter and VeriloggerExtreme

Greetings:

They gave me a 6-mo eval license for those, which I will begin tinkering with in upcoming weeks.

I have so far used only Icarus Verilog for sims, ever since Modelsim stopped being included with Xilinx tools. I know they have Isim now, or whatever the flavor of the month is, but I have yet to check it out or even determine if it's free in some capacity or not.

But what attracted me to Synapticad's product was the prospect for much easier "graphical" waveform editing to compose test benches, which I suppose is among all of our least favorite things to do.

Any thoughts on Synapticad for Verilog sim and testbench generation?

Thanks for comments.

--
_____________________
Mr.CRC
crobcBOGUS@REMOVETHISsbcglobal.net
SuSE 10.3 Linux 2.6.22.17
Reply to
Mr.CRC
Loading thread data ...

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.