Source of accurate frequency

This is slightly off-topic: I have mentioned before that I am in the process of designing (and manufacturing in limited volume) a clock-generator box (1 Hz to 1.5 GHz in 1 Hz increments). We debated some jitter issues... It's tough to get a very accurate reference xtal (2 ppm should be possible, 20 ppm is easy) I might include a simple calibration mechanism (any reasonable amount of logic is free in the Virtex-5 FPGA), but from where do I get a very accurate reference frequency input of any value ?

Decades ago, the major TV stations kept the color subcarrier very accurate (much better than 0.01 ppm), but that service has ben abandoned. WWV needs a short-wave receiver, or at least a good selective amplifier. I am asking this smart group for some creative ideas. GPS ? I indicate the frequency on a 9-digit display, so it would be desirable to be able to guarantee better than 1 ppm (after calibration for at least a few days. Temperature drift is not a big issue in a lab instrument...) Peter Alfke

Reply to
Peter Alfke
Loading thread data ...

Some radio carriers are maintained to very high accuracy for use as a frequency reference. E.g. the BBC long wave transmission (previously

200kHz, now 198kHz) is controlled by a rubidium clock. The audio modulation is AM but there is also a low-bandwidth phase modulation used to broadcast data to electricity meters (for control of overnight load-shedding). I don't know if that phase modulation would be a problem for your application.

I'd guess that the signal is useable over all of western Europe. I recall that when I stayed about 200km east of Moscow, I had forgotten how to set my radio-controlled alarm clock to run only from the internal crystal, but in the morning it had set itself from the 60kHz transmitter in the UK.

Perhaps there is something similar in the USA.

formatting link
formatting link

Mike

Reply to
MikeShepherd564

I don't know what happens in the USA, but here in the UK we have a timecode broadcast service (MSF) that provides a very stable

60kHz carrier, modulated with some timecode stuff that keeps clocks up-to-date. Because the frequency is so low, coverage is excellent and receivers very simple. If you're happy to do the calibration over many hours, that might be all you need. An A-D converter and synchronous demodulation sounds like an afternoon's work for you guys - you could use it as a demo of a high-resolution delta-sigma A/D... only a ferrite-rod antenna needed. Add a temperature sensor near your oscillator and then you could, over time, calibrate its temperature coefficient, enabling you to keep it very accurate even if you lose the time code signal.

I can easily imagine such a thing being subverted by nearby CRT monitors or other sources of spurious-ness with components close to 60kHz. I still carry the scars of attempts to build readers for the TIRIS RF-ID tags, which worked at around 130kHz and were pretty much inductively coupled. That frequency was nicely at the second harmonic of the line scan rate of VGA monitors, which made the whole thing fail horribly if it was within a couple of yards of any cheap-and-nasty monitor.

Still, you could use synchronous demodulation to establish a *very* narrow receiver bandwidth.

--
Jonathan Bromley, Consultant

DOULOS - Developing Design Know-how
VHDL * Verilog * SystemC * e * Perl * Tcl/Tk * Project Services

Doulos Ltd., 22 Market Place, Ringwood, BH24 1AW, UK
jonathan.bromley@MYCOMPANY.com
http://www.MYCOMPANY.com

The contents of this message may contain personal views which 
are not the views of Doulos Ltd., unless specifically stated.
Reply to
Jonathan Bromley

In the US the same service comes from WWVB in colorado:

formatting link

and clock devices that use it as a time reference are fairly common, though these typically only preform a time sync once a day (typically at night when propagation is better). In fact I'm wearing a Casio watch that's both solar powered and gets the time nightly from WWVB so I never have to think about it and I always have sub-second accurate time on my wrist.

But I think GPS is generally the right solution for this problem these days. There's a whole sub-genre of the GPS community (and devices from manufacturers) that only care about when rather than where. Once you get out of the consumer stuff, receivers that output a very accurate

1 pps (pulse per second) are common, and you can get any level of exotic time keeping beyond this that you have the money to pay for :-)

G.

Reply to
Gavin Scott

You can get reference clocks that are locked to GPS. Here are a few links:

formatting link
formatting link
formatting link

If you want to Google for more, search on "primary reference clock" or "telcom primary base reference".

It is pretty common to find them with a 10MHz reference clock output, IRIG time code, and a one PPS signal. They discipline an internal oscillator to the GPS clock, which is locked to an atomic clock. 10 MHz is also a common reference clock for frequency generators.

Regards,

John McCaskill

formatting link

Reply to
John McCaskill

Peter,

for our time to digital converters we use temperature compensated oscillators (TCXOs) with 1 ppm. We pay a premium because we have custom frequencies cut for us, but for standard frequencies in higher quantities the price should be below 10$. These devices are available from many manucatures in 7mm x 5mm SMD.

I recently saw a new TCXO that only has 100ppb temperature drift.

Below that you need OCXOs. The packages of these are a bit larger, they are more expensive and draw quite a lot of current (500mW to 5W). But you can get them down to 5ppb.

Another option is to lock the clock to GPS. There are boxed solutions for that available.

Have fun,

Kolja

formatting link

Reply to
comp.arch.fpga

Reply to
John_H

(I wish I didn't have to use google groups to access from work...)

Peter,

Your own austin has quite a background in stable timing so he can probably provide some good lunchtime conversation. The specs I've seen on GPS timing references give 1 pulse per second outputs accurate to within 100 ns. Perhaps this accuracy is better than those many years ago when I was actively looking at the specs but it gives you an idea of the accuracies you'd need to work with. GPS-trained frequency sources use clean local oscillators to smooth out the uncertainty and provide good accuracy under signal dropout conditions. For very high accuracy phase stuff, the Allan variance can come into play (again, seek guidance from austin).

It may be that for measurement accuracy, the low Allan variance isn't a necessity; I don't have an appreciation for the scale of the problem, only the problem itself. Heck - even rubidium oscillators have close-in phase noise issues that are averaged out with external help. Just this week I've been demodulating jitter and watching cheap oscillators changing frequencies on a whim, changing from one relatively stable value to the next.

The jitter generator I produced a decade ago went with a small OCXO from

formatting link
that had nice close-in phase noise characteristics within a reasonably small package but it was still a $50 instrumentation solution.

Both a GPS reference and an OCXO will probably be a chunk of the device cost and consume more power than you'd want for batteries.

A quick discussion with an apps engineer from someplace like MTI might get you more precise information that from the lovely engineers that frequent this group.

Let us know when we can order one of your devices!

- John_H

Reply to
John_H

Other posters have mentioned the excellent GPS-based solutions available, and/or WWV(B) options. Here are two others:

1) The TV carriers are still often dead-on in frequency, as they are now locked to GPS. 2) The Loran-C system (100 kHz pulses) is being recapitalized with precise (GPS-locked) references as a backup to GPS against potential jamming.

I've been very happy with my Symmetricom frequency references; for a small/cheap solution, SigNav is now selling a GPS receiver based on the old Motorola Oncore series (interface compatible) that provides a precise 10MHz output. Trimble also sells something called the Micro-T with similar capability. Both I believe are in the ~$200 range for an OEM, but prices have been dropping. When locked to GPS, these jobbies can give you better than 10 ppb accuracy.

Marty

Reply to
Marty Ryba

d
z

Thank you, guys, for all the advice arriving in just a few hours. I remember Droitwitch 200 kHz from my parents' (highly illegal) listening to the BBC during WW2. It covered most of Germany (!) very well, but is obviously silent here in the US. The 60 kHz timing transmissions in Europe and here from Fort Collins, Colorado are rather slow, and burdened with their data modulation. I like the GPS-based solutions from SigNav and Trimble best. I have no intentions of burdening the box with a >>$10 precision oscillator, I rather provide a separate calibration capability, for manufacturing and for ultra-demanding users, where a few hundred dollars do not matter. The nicest solution would be over the internet, but I have not heard about anything like that (yet). I will keep you informed about our progress. Peter Alfke

Reply to
Peter Alfke

Here is one more interesting link:

formatting link

Regards,

John McCaskill

formatting link

Reply to
John McCaskill

Beware. That's much more than just an "interesting link".

It's a gigantic time sink. :)

--
These are my opinions, not necessarily my employer's.  I hate spam.
Reply to
Hal Murray

My two cents worth...

I assume your target market is pretty broad, some combination of professionals/geeks with serious cash, hackers with time but no cach, and professors/students and whatever in between.

A connector for an external clock is the obvious first step. That lets you use whatever is available at the local environment.

Serious labs will have 10 MHz available from the wall next to the

110 V AC and ethernet.

Lots of lab equipment (for example counters) have a BNC jack on the back for input of a reference clock andor output of their internal oscillator. That sort of lab gear has good to very good internal oscillators.

That's all pretty fancy/expensive. But it lets the geeks go for the bleeding edge.

Most low cost digital crystal oscillator packages have 2 interesting error components. One is manufacturering offset. The other is temperature. (Supply voltage is probably third, but I'm not calibrated on that.)

The ballpark for tempertaure is 1 ppm per C. The ballpark for manufacturing (at room temp) is 1/2 of the spec.

I'd expect you could get pretty close to a few ppm if you did a calibration run at a known temperature on your final checkout line and recorded the fudge factor.

You could do even better if you included a temperature sensor near the local oscillator package and made a few test runs to get a simple linear correction. (Maybe non-linear via table lookup would be better. Mumble, TBD.)

I don't think you will get much from WWVB or WWV and friends without a stable local clock to use as a refernce. They are great for the long term, but you need a place to stand.

As others have suggested, ask Austin for high end ideas.

DDS type approaches are notorious for close-in spurs.

I think they are not interesting for longer term measurements. (But lots of people are interestedin short term measurements.)

GPS is a good (low cost) straw man.

You can get GPS receivers with a PPS (pulse per second) output for under $100. They are basically low cost commerical units with an extra output pin.

You can get various boxes with a good crystal, a GPS input, a pile of software, and ... They tend to be expensive on the hobbyist scale.

An example:

formatting link

Symmetricom (by way of Agilent) has Borged HP's old Cesium clock business area and friends and lots of others.

formatting link
(I didn't run into their Flash crap until I got there.)

GPSDO is a good magic word: GPS Disciplined Oscillator.

GPS provides the long term stability. The local (good crystal) provides the short and medium stability. Usually there is a lot of software filtering involved.

HP pioneered that technology many years ago.

For more than you wanted to know:

formatting link
The Global Positioning System and HP SmartClock December 1996 Hewlett-Packard Journal

formatting link
(Z3801As were available cheap for a while as cell towers upgraded.)

HP app notes: HP SmartClock Technology Application Note 1279 The Science of Timekeeping Application Note 1289 GPS and Precision Timing Applications Application Note 1272 (Ask me if google can't find them for you.)

Just for fun, and this needs a serious time-sink warning, here is my all time favorite paper about time:

formatting link
Daniel Kleppner Physics Today, March 2006, page 10 [Don't say I didn't warn you.]

--
These are my opinions, not necessarily my employer's.  I hate spam.
Reply to
Hal Murray

Hi Peter,

I don't claim to be smart or creative, but some TCXOs are good for 1ppm and not too expensive. If you can calibrate them after manufacture, which I guess you can easily do with your small batch, and store the offset error in your device, I bet you can easily keep a TCXO at the same frequency to a few

100ppb, if you don't change the temperature too much. I guess you could even use a IC thermometer (Maxim make some cheap'n'cheerful ones, maybe with nvrom to store offsets?) and adjust the cal accordingly.

My experience with TCXOs is just that; the initial accuracy is somewhere within the spec., say +/-2ppm, but the frequency stays at that initial frequency from then on (> a few days!). (A whack with a hammer can sometimes 'adjust' the initial frequency!)

Of course, OCXOs are good for a few ppb.

formatting link

GPS is great, if you're outdoors. How many lab instruments meet this requirement? ;-) Cabling an aerial can be a PITA.

HTH., Syms.

Reply to
Symon

Actually, forget all that, use this:-

formatting link

Reply to
Symon

While the OCXO have drifts down to 20 ppb, the default accurracy is only in the ppm range...

--
Uwe Bonnes                bon@elektron.ikp.physik.tu-darmstadt.de

Institut fuer Kernphysik  Schlossgartenstrasse 9  64289 Darmstadt
--------- Tel. 06151 162516 -------- Fax. 06151 164321 ----------
Reply to
Uwe Bonnes

IMHO you should simply provide an external clock input (perhaps of any configurable value, you have some spare cells in your FPGA...) and let the user supply his own reference clock. Which could be, for instance, a rubidium atomic oscillator:

formatting link

for 260 USD (dollar is cheap now...). AFAIK there are smaller models on the market.

Best regards Piotr Wyderski

Reply to
Piotr Wyderski

But many/most of them that are that stable have a voltage adjust input pin. A good regulator and a pot lets you manually adjust it. A DAC lets you servo it to something else, for example GPS. That's a good first step down a very long steep slippery slope.

--
These are my opinions, not necessarily my employer's.  I hate spam.
Reply to
Hal Murray

How about a 2ppm accuracy reference for $2.55 as a first step? The DS3231 is one of several 32.768kHz Maxim oscillator modules.

formatting link

Reply to
John_H

Hi Peter, The Carrier precisions vary around the world, so I'd allow a flexible calibration scheme.

If you implement a reciprocal counter, then users can choose what they like, as reciprocal counters give appx constant precision-per-second

Most globaly common these days, is the 1pps on GPS, which gives appx

100ns, or one part in 10^7 per pulse, so you'd need 1000 pulses to get 10^10

A tougher question is how does the user correct the result?. If you use just a vanilla crystal/module, they are not easy to trim. A VCXO would allow a lock system with a simple DAC.

Even nicer would be a dual-input system, (would need Dual reciprocal counters, but hey, this is a big FPGA! ) so a user could ratio-lock/check almost any two reference sources (as well as the local crystal).

9 Digits sounds ok for a per-second readout, but users might want to run to 100s or 1000s timebases, for highest precision, and 9 digits is light then :)

Or, you could look for one of these!! :)

formatting link
"The Ultra-miniature Rubidium (Rb) Atomic Clock, 40 cubic centimeters in volume and using a minuscule one watt of power, doesn't weigh much more than a matchbox either. And... it will lose only about one second every 10,000 years."

-jg

Reply to
-jg

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.