Reset and DCM

Hello all, I'm working on a FPGA design that runs in board with a Virtex-II that doesn't have any reset signal. I have some doubts about this.

On one side, It is supposed that everthing in the FPGA is clear after power up by GSR (please advise me if I'm confused). In this sense, I have read a paper wrote by Ken Chapman in TechXclusives that says that global reset is not needed in FPGAs.

On the other side, "Virtex-II Platform FPGA User Guide" says that GSR doesn't affect to SelectRAMs and shift registers and I have some doubts about DCMs as they should have an asynchronous reset.

So my questions are: 1. Can I use GSR as asynchronous global reset (including DCM)? If not, suggestions are welcome. 2. Is it better that I leave DCM RST to 0?

Regards, Daniel Gutierrez

Reply to
danielgutierrezcastro
Loading thread data ...

Daniel,

Lots of questions here, let me see if I can help.

-snip-

Not exactly true. GSR (global set, reset) places a value in each and every CLB DFF based on the programming of the bitstream. If you implemented a flip flop, and you had it starting out as a '1', then asserting GSR puts all of the flip flops into the state you told it to start at.

In this sense, I

That is true, but read on....

That is correct: GSR ONLY affects CLB DFF state.

So if it only affects DFF's, then it does not affect anything else. That is everything else.

No, GSR only affects DFF state. There are applications notes which detail the use of a SRL16 to reset the DCM after startup.

formatting link
or
formatting link

details the solution, as well as cascading rules. Just because the reset for the DCM is 'asynchronous', does not imply you can not use a signal synchronous with CLKIN! That works just as well, also!

Austin

Reply to
austin

In my designs, the input clock has been present before configuration completes, so I have never bothered to reset DCMs. If it's necessary, I believe you can set the STARTUP_WAIT attribute on your DCM and then set a bitgen option called LCK_cycle so that startup is delayed until the DCM is locked. Details in your Xilinx user guide and software manuals.

Barry

Reply to
Barry

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.