Re: Trouble with Post-Place Simulation

I am just starting with VHDL and have been doing software for the last 20

>years. My project that I am trying to get working is part of a memory >controller which will allow different processes to request access to the >memory. > > The portion of the code that I posted works great when I do the Behavioral > Model Simulation. When I do the post-Place & Route VHDL Model Simulation > the first write cycle does not work. You can see that it processes > through the states but the data out and address lines don't change as > expected. > > I would appreciate any help with this problem. Hopefully it's just > something stupid. That always makes me feel better. > > Joel > >

Joel, When I compiled the source to gates, and ran the simulation, I got a lot of timing violations.

In the testbench, how do you control the set up and hold of the inputs to the uut with respect to clk? (especially data_valid, rst, ack) Do you constrain tbe implementation with timing constraints from a UCF file?

-Newman

Reply to
newman5382
Loading thread data ...

The only thing that I had put in the UCF file was the clock period. I will admit I don't know much about this file and what should be set. I attached the UCF file and help in additional settings would be appreciated. Actually the UCF file that I created was for the higher level project. So maybe the settings never got flowed down?

Joel

begin 666 mem_demo.ucf M3D54(")C;&LB(%1.35].150@/2 B8VQK(CL-"E1)34534$5#(")44U]C;&LB B(#T@4$5224]$(")C;&LB(#(P(&YS($A)1T@@-3 @)3L-"@`` ` end

Reply to
Weddick

Your problem is that the inputs(rst, data_valid, ack) are not synchronized. Also I don't understand why "write" should be 'Z' some times. Also you'd better synchronize your outputs too.

vax, 9000

Reply to
vax, 9000

Joel, I added the ucf file and added offset in of 4 ns for grins. When I went into the dreaded gate level simulation debug. I noticed that write_device_tb/uut/current_state_ffd2_94/rst write_device_tb/uut/current_state_ffd2_94/srst did not have the same delay values. I increased the testbench delay rst value to 125 ns, and it appeared to help. There may be some active reset minimum in the gate level simulation for some type of reset on configuration thingy.

-Newman

Reply to
newman5382

Thanks Newman, I made that change also and it seemed to help. At least it runs.

Joel

Reply to
Weddick

I guess I don't understand what your getting at. How should they be synchronized? (Example?) Is there a better way that I should be coding this so everything is synchronized?

Thanks, Joel

Reply to
Weddick

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.