Re: Multiple DCM ? (Virtex II)

Rudi,

> > The Architecture Wizard should generate a component with a > CLKIN_IBUFG_OUT output port (if the 100 MHz clock is coming from a > pad). Send that signal from the dcm60 into the CLKIN_IN port of the > dcm75. Also, for your dcm75, in the Architecture Wizard, specify that > the clk is coming from an internal source. This will prevent an IBUFG > from being inserted in the dcm75 file. This worked for me. > > Code snippet below: > > Inst_dcm60: dcm60 PORT MAP( > CLKIN_IN => clk100, > LOCKED_OUT => open, > CLKFX_OUT => clk60, > CLKIN_IBUFG_OUT => clk100_ibufg_out, > CLK0_OUT => open > ); > > Inst_dcm75: dcm75 PORT MAP( > CLKIN_IN => clk100_ibufg_out, > LOCKED_OUT => open, > CLKFX_OUT => clk75, > CLK0_OUT => open > ); > > If you need the rest of the code, I can send it to you. > > Regards, > Ben

Hi Ben,

yes I have tried that as well, and yes it does work, except the timing between the 60 and 75 MHz clocks can not be separated (at least thats what it seems to me).

It creates a dependency between the clocks (I think). I meet the 60 MHZ timing but the 75MHz timing is off by a factor of 2 ! (I meet all timing if I use separate clock inputs for the DCMs.)

How do you constrain the outputs of the DCMs in your UCF file ?

Thanks ! rudi ======================================================== ASICS.ws ::: Solutions for your ASIC/FPGA needs ::: ..............::: FPGAs * Full Custom ICs * IP Cores ::: FREE IP Cores ->

formatting link

Reply to
Rudolf Usselmann
Loading thread data ...

Hi Rudi,

I only constrain the input clock to the DCM and the constraints for the output clocks are automatically generated. Maybe you should try specifying a FROM-TO constraint across clock domains. Here is my UCF file:

# Constrain the input to the DCMs NET "clk100" TNM_NET = "clk100"; TIMESPEC "TS_clk100" = PERIOD "clk100" 10 ns HIGH 50 %;

# Create a timing constraint to constrain paths that cross clock domains NET "clk60" TNM_NET = "clk60"; NET "clk75" TNM_NET = "clk75"; TIMESPEC "TS_crosscycle" = FROM "clk60" TO "clk75" 5 ns;

Regards, Ben

Reply to
Ben Howe

Hi Rudi,

Apologies for asking you to repeat your question but I can't see it on my news reader. I can however tell you that (as Ben has said) timing constraints applied to the input side of the DCM will result in timing constraints being automatically generated on the outputs.. I can only assume you are generating both these clocks from the same DCM (i.e. you are applying a single clock constraint to a single input clock) and this constraint is then being propogated. If you repeat your question, I will try to help where I can,

Best Regards,

Steve Merritt BEng (Hons) CEng MIEE XILINX Gold Certified Field Applications Engineer Insight MEMEC ( +44 (1296) 330061 È+44 (7766) 470756 ? snipped-for-privacy@insight.uk.memec.com

Click link below for more information on : XILINX Free Training

XILINX Design Services

10 Gbps Serial IO on FPGA

Or Tel - 08707 356532 for more information

Reply to
Steve Merritt

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.