Question on using RLOC_RANGE

Hi all,

I am trying to tell the ISE tools to place DSP48s belonging to a complex multiplier (generated with coregen) close together in a V4FX chip with the following line in my UCF:

INST "DUC_BL.DUC_INST/CPL_MPLY_INST/*/mult_2/m18x18/dsp48_?" RLOC_RANGE=X1Y1:X1Y3;

I am getting the following error:

------------------ ERROR:Map:10 - RLOC_RANGE attribute on DSP48 symbol "DUC_BL.DUC_INST/CPL_MPLY_INST/BU2/U0/mult_2/m18x18/dsp48_0" (output signal=DUC_BL.DUC_INST/CPL_MPLY_INST/BU2/U0/p_cout_2) must be on the start of a set.

I must admit I have not used RLOC_RANGE before...

Thanks, /Mikhail

Reply to
MM
Loading thread data ...

MM,

I am asking the experts here. Will post their reply soon,

Austin

Reply to
austin

Thanks Austin...

/Mikhail

Reply to
MM

MM,

The expert did not understand your question.

Perhaps you could supply me with more details while he asks me to ask you some questions?

One comment I received was that we thought it took a minimum of four DSPs to do what you want, and that was confusing to us (as we saw three in your post?).

Aust>> MM,

Reply to
austin

MM,

Here it is:

"If he wants to use RLOC_RANGE he has to create a set (using U_SET for example) and place the RLOC_RANGE attribute on a single member of the set, not on all of them as he is doing now with a wildcard.

A simpler alternative would be to use independent LOC constraints on the three DSP48s.:

I hope this helps.

Austin

aust> MM,

Reply to
austin

Thanks again Austin. Obviously I wasn't using the RLOC_RANGE correctly... I have now put independent RLOCs on each of the DSP48s. I still think however that there has to be an easier (and less restrictive in terms of relative component order) way to tell the tools to place things close together. I believe the COMPRESS attribute is supposed to do just that but according to the docs it doesn't work for DSP48s.

/Mikhail

Reply to
MM

MM,

You are welcome.

Your comments about the placement are noted. There is a lot of work going on in just this area (of best placement, easiest way to control..etc.).

Austin

Reply to
austin

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.