Quartus II TCL or Command line

hi all, How can I add VHDL files to Quartus II project using TCL or command line???

Thank you,

Reply to
AA
Loading thread data ...

I can't see anything in the TCL packages:

formatting link

but look at your existing .qsf file - the command should be in there for files already in your project.

Quartus TCL reference if you haven't already seen it.

formatting link

Theo

Reply to
Theo Markettos

In Tcl: foreach file "file1.vhd file2.vhd file3.vhd" { set_global_assignment -name VHDL_FILE "$file" -library work }

From the command line you can make a script similar to this:

script.tcl: ... project_open your_project_name ... foreach file "$argv" { set_global_assignment -name VHDL_FILE "$file" -library work }

and do:

quartus_sh -t script.tcl file1.vhd file2.vhd file3.vdh

//Petter

--
.sig removed by request.
Reply to
Petter Gustad

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.