Quartus-II 7.1 Systemverilog support define `` ?

Does Quartus-II 7.1 support the Systemverilog preprocessor's `` concatenator?

`define pori_reg(r) \ r

Reply to
Xilinx user
Loading thread data ...

No, Quartus II does not support any of the `define extensions defined by the SystemVerilog language. These include `", `\`", and ``. These features will be added to Quartus II 8.0.

- Subroto Datta Altera Corp.

Reply to
Subroto Datta

Thank you!

Will Quartus-II 8.0 support unnamed-block variable-declarations? (The example below causes syntax-error in Quartus-II 7.1 April 2007.)

always_comb beg>> DoesQuartus-II 7.1 support theSystemverilogpreprocessor's ``

Reply to
Altera User

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.