Quartus db issue

I was now searching for an error in my design for hours.... As a last try I removed the folder db in the quartus project folder, compiled again and -- voila, the design worked!

Weird!

BTW: I'm invoking Quartus in batch mode from a Makefile. Anyone had similar experiences?

Martin

Reply to
Martin Schoeberl
Loading thread data ...

Hi Martin,

This problem was reported by the Altera applications group on Monday this week and we will have a fix ready. This global patch which is undergoing testing will be made available by Friday noon and a link will also be posted here. This problem is seen if you are compiling a design which has encrypted IP. This affects Nios II designs and four of the communications cores. In the meantime delete the db directory. This problem only affects Quartus II 5.1. Earlier versions of Quartus are not afected.

We apologize for any inconvenience this may have caused.

- Subroto Datta Altera Corp.

Reply to
Subroto Datta

week and we will have a fix ready. This global patch

will also be posted here. This problem is seen if you

and four of the communications cores. In the meantime

versions of Quartus are not afected.

Hi Subroto,

thanks for the reply. I've added a 'rm -r db' in my Makefile ;-) However, my design does not used any encrypted IP, just plain VHDL files.

Martin

Reply to
Martin Schoeberl

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.