Quartus and simulation libraries...

Hi all,

I used to work with Xilinx ISE, there you could compile the unisim, simprim libraries. I have switched from Xilinx to Altera where I would like to with the same ease compile Altera specific libraries. But I have not found a similar way.

Is there such a script or do I need to do it manualy?

Regards, /RN

Reply to
Rick North
Loading thread data ...

Altera has the lib in the altera quartus directory! There are e.g. "eda/simlib". Ther you have to compile several *vhd /

*v into your libs.

Typical : "altera" lib holds the altera primitives, "altera_mf" has the megafunctions and so on.

I do not have a sheet here in my office but could provide you with acomplete list.

Henk ten Bakker

Reply to
HenktenBakker

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.