PWM using FPGA

does anyone have a code for this? i will be using pwm for controlling a servo motor

Reply to
iceman
Loading thread data ...

counter, comparator, ...

When this is done, go the the newsgroup about servo's and ask how to do the control loop.

servo motor

Reply to
Vanheesbeke Stefaan

servo motor

formatting link

Antti

Reply to
Antti Lukats

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.