Program FPGA from PowerPC in V2P

Hi,

I'm working with the Virtex-II Pro FPGA. Is there a way that we can run VHDL code for FPGA in PowerPC405 cores? So far I've only seen documents on embedded designs using EDK or FPGA programming using ISE. I'll appreciate any references or tips. Thanks.

Eric

Reply to
Eric
Loading thread data ...

Do you mean that you want to combine a ppc core with your own vhdl code? There are two ways to combine your code with a ppc project:

  1. Use your vhdl as the top level (with ise as the build tool). Build a ppc project with edk and embed it as a block in your top level project.
  2. Use edk as the top level build tool. Write your vhdl as modules in the pcores directory (copy the format from xilinx supplied cores). Install these and build with edk.

Alan Nishioka snipped-for-privacy@nishioka.com

Reply to
alan

Thanks Alan. I'm hoping to port an OS to the system, and eventually be able to run VHDL components in ppc. I'll try the methods you suggested. Thanks again.

Reply to
Eric

If you are looking for a basic tutorial on creating an OPB peripheral look at "Designing a Custom Processor Peripheral Using Xilinx EDK" by Richard Griffin on the Xilinx website under TechXclusives. After that you could use the "Create - Import Peripheral wizard" tool included with Xilinx EDK to easily create your custom peripherals. It also has documentation on OPB/PLB IPIF specs.

Kunal

Reply to
Kunal

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.