Hi, I learn VHDL. I got text: "
Compiling 'komp.vhd' in 'H:\PROGRAMY\WARP\BIN'. VHDL parser (h:\programy\warp\bin\vhdlfe.exe V4 IR x66) Library 'work' => directory 'lc16r4' Library 'ieee' => directory 'h:\programy\warp\lib\ieee\work' Using 'h:\programy\warp\lib\ieee\work\stdlogic.vif'. High-level synthesis (h:\programy\warp\bin\tovif.exe V4 IR x66) Synthesis and optimization (h:\programy\warp\bin\topld.exe V4 IR x66) Design optimization (dsgnopt) Equation minimization (minopt) Design optimization (dsgnopt) Device fitting (pla2jed) Error: Polarity specified for LHS signal y must be Active Low. "
Code I was trying to synthes is:
"library ieee; use ieee.std_logic_1164.all;
entity komparator is port (a,b,c: in std_logic; y: out std_logic); end komparator;
architecture komparator of komparator is begin
yiuyuiku:process(a,b,c) begin y