Please help me fast !!!!!

I need some vhdl code to configure a xupv2p board and how I'm supose to do the implementation part.

Reply to
rogodani
Loading thread data ...

Wooooo! A new record!

Reply to
Benjamin Todd

You need a programming cable and Xilinx software to configure your xupv2p board. So what are you asking for?

Reply to
John_H

Wow... possibly the MOST impressively vague request for help I've ever read on here! And there are often some very very vague posts on here... that's really rather impressive.

Often you get grammatically incorrect and technically incorrect... but such an graceful combination of the two is really a find. "I need some vhdl code.... and how I'm supose to do the implementation part" - yeaup, certainly not and english sentance. Missing a noun I would think... perhaps... "I need some vhdl code and INSTRUCTIONS for how i'm supposed to "? Something along those lines.

And for the technical side... "I need some vhdl code to configure a board".... boards don't get configured last I checked.. fpga's do... and thats gets done by a bitstream ... which gets programmed into a configuration prom.... and is synthesized from vhdl code... which puts us a grand total of 3-4 degrees of freedom between VHDL code and Configuration... it's almost as fun as playing 7 degrees of kevin bacon! :-)

I could probably find a better use of my lunch hour... but this is just way too much fun.

Reply to
Paul

It's simple; just stop eating. But be careful not to overdo it, and remember to keep drinking...

Oh, sorry, you mean *quickly*.

-- Mit der Dummheit kämpfen Götter selbst vergebens.

Reply to
tersono

Get some software to run on a cpu and then you just design it

Reply to
Jeff Cunningham

Ja, Ja, ... Schiller also noticed that

"It does not prove a thing to be right because the majority say it is so."

-- Mike Treseler

Reply to
Mike Treseler

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.