Well, thanks for all your suggestions. As far as BRAMs, I would rather use them elsewhere. I ended up with this rather verbose code shown below. And I don't know how well it synthesizes, probably not to well, because I think it is using several hundred LUTs. It's actually a 62 ones counter and the bits can be turned off from the center out with the B signals.
Brad
signal B00 : std_logic; signal B01 : std_logic; signal B02 : std_logic; signal B03 : std_logic; signal B04 : std_logic; signal B05 : std_logic; signal B06 : std_logic; signal B07 : std_logic; signal B08 : std_logic; signal B09 : std_logic; signal B10 : std_logic; signal B11 : std_logic; signal B12 : std_logic; signal B13 : std_logic; signal B14 : std_logic; signal B15 : std_logic; -- center signal B16 : std_logic; signal B17 : std_logic; signal B18 : std_logic; signal B19 : std_logic; signal B20 : std_logic; signal B21 : std_logic; signal B22 : std_logic; signal B23 : std_logic; signal B24 : std_logic; signal B25 : std_logic; signal B26 : std_logic; signal B27 : std_logic; signal B28 : std_logic; signal B29 : std_logic; signal B30 : std_logic;
signal EL00 : std_logic; signal EL01 : std_logic; signal EL02 : std_logic; signal EL03 : std_logic; signal EL04 : std_logic; signal EL05 : std_logic; signal EL06 : std_logic; signal EL07 : std_logic; signal EL08 : std_logic; signal EL09 : std_logic; signal EL10 : std_logic; signal EL11 : std_logic; signal EL12 : std_logic; signal EL13 : std_logic; signal EL14 : std_logic; signal EL15 : std_logic; signal EL16 : std_logic; signal EL17 : std_logic; signal EL18 : std_logic; signal EL19 : std_logic; signal EL20 : std_logic; signal EL21 : std_logic; signal EL22 : std_logic; signal EL23 : std_logic; signal EL24 : std_logic; signal EL25 : std_logic; signal EL26 : std_logic; signal EL27 : std_logic; signal EL28 : std_logic; signal EL29 : std_logic; signal EL30 : std_logic;
signal ER00 : std_logic; signal ER01 : std_logic; signal ER02 : std_logic; signal ER03 : std_logic; signal ER04 : std_logic; signal ER05 : std_logic; signal ER06 : std_logic; signal ER07 : std_logic; signal ER08 : std_logic; signal ER09 : std_logic; signal ER10 : std_logic; signal ER11 : std_logic; signal ER12 : std_logic; signal ER13 : std_logic; signal ER14 : std_logic; signal ER15 : std_logic; signal ER16 : std_logic; signal ER17 : std_logic; signal ER18 : std_logic; signal ER19 : std_logic; signal ER20 : std_logic; signal ER21 : std_logic; signal ER22 : std_logic; signal ER23 : std_logic; signal ER24 : std_logic; signal ER25 : std_logic; signal ER26 : std_logic; signal ER27 : std_logic; signal ER28 : std_logic; signal ER29 : std_logic; signal ER30 : std_logic;
signal sum_2_00 : std_logic_vector(1 downto 0); signal sum_2_01 : std_logic_vector(1 downto 0); signal sum_2_02 : std_logic_vector(1 downto 0); signal sum_2_03 : std_logic_vector(1 downto 0); signal sum_2_04 : std_logic_vector(1 downto 0); signal sum_2_05 : std_logic_vector(1 downto 0); signal sum_2_06 : std_logic_vector(1 downto 0); signal sum_2_07 : std_logic_vector(1 downto 0); signal sum_2_08 : std_logic_vector(1 downto 0); signal sum_2_09 : std_logic_vector(1 downto 0); signal sum_2_10 : std_logic_vector(1 downto 0); signal sum_2_11 : std_logic_vector(1 downto 0); signal sum_2_12 : std_logic_vector(1 downto 0); signal sum_2_13 : std_logic_vector(1 downto 0); signal sum_2_14 : std_logic_vector(1 downto 0); signal sum_2_15 : std_logic_vector(1 downto 0); signal sum_2_16 : std_logic_vector(1 downto 0); signal sum_2_17 : std_logic_vector(1 downto 0); signal sum_2_18 : std_logic_vector(1 downto 0); signal sum_2_19 : std_logic_vector(1 downto 0); signal sum_2_20 : std_logic_vector(1 downto 0); signal sum_2_21 : std_logic_vector(1 downto 0); signal sum_2_22 : std_logic_vector(1 downto 0); signal sum_2_23 : std_logic_vector(1 downto 0); signal sum_2_24 : std_logic_vector(1 downto 0); signal sum_2_25 : std_logic_vector(1 downto 0); signal sum_2_26 : std_logic_vector(1 downto 0); signal sum_2_27 : std_logic_vector(1 downto 0); signal sum_2_28 : std_logic_vector(1 downto 0); signal sum_2_29 : std_logic_vector(1 downto 0); signal sum_2_30 : std_logic_vector(1 downto 0);
signal sum_3_0 : std_logic_vector(2 downto 0); signal sum_3_1 : std_logic_vector(2 downto 0); signal sum_3_2 : std_logic_vector(2 downto 0); signal sum_3_3 : std_logic_vector(2 downto 0); signal sum_3_4 : std_logic_vector(2 downto 0); signal sum_3_5 : std_logic_vector(2 downto 0); signal sum_3_6 : std_logic_vector(2 downto 0); signal sum_3_7 : std_logic_vector(2 downto 0); signal sum_3_8 : std_logic_vector(2 downto 0); signal sum_3_9 : std_logic_vector(2 downto 0); signal sum_3_10 : std_logic_vector(2 downto 0); signal sum_3_11 : std_logic_vector(2 downto 0); signal sum_3_12 : std_logic_vector(2 downto 0); signal sum_3_13 : std_logic_vector(2 downto 0); signal sum_3_14 : std_logic_vector(2 downto 0); signal sum_3_15 : std_logic_vector(2 downto 0);
signal sum_4_0 : std_logic_vector(3 downto 0); signal sum_4_1 : std_logic_vector(3 downto 0); signal sum_4_2 : std_logic_vector(3 downto 0); signal sum_4_3 : std_logic_vector(3 downto 0); signal sum_4_4 : std_logic_vector(3 downto 0); signal sum_4_5 : std_logic_vector(3 downto 0); signal sum_4_6 : std_logic_vector(3 downto 0); signal sum_4_7 : std_logic_vector(3 downto 0);
signal sum_5_0 : std_logic_vector(4 downto 0); signal sum_5_1 : std_logic_vector(4 downto 0); signal sum_5_2 : std_logic_vector(4 downto 0); signal sum_5_3 : std_logic_vector(4 downto 0);
signal sum_6_0 : std_logic_vector(5 downto 0); signal sum_6_1 : std_logic_vector(5 downto 0);
signal sum_7_0 : std_logic_vector(6 downto 0);
begin
s15:process(clk) begin if(clk'event and clk='1') then sum_2_15