not replaced by logic error

Hi Thanks for reading this. I have a schematic (top level) design which has a data bus. This data bus has had IOB(63:0) and separate Ibuf(63:0) and OBUF(63:0) attached to an IO marker (bidirectional) and NOTHING else in the schematic. I get an error on synthesis that says: Signal is stuck at GND

WARNING:Xst:528 - Multi-source in Unit on signal

not replaced by logic Signal is stuck at GND WARNING:Xst:528 - Multi-source in Unit on signal

not replaced by logic Signal is stuck at GND WARNING:Xst:528 - Multi-source in Unit on signal

not replaced by logic Signal is stuck at GND WARNING:Xst:528 - Multi-source in Unit on signal

not replaced by logic

Signal is stuck at GND Anybody seen this error??? I am using primitives and not macros for the IOBufs Also I had created this schematic under 4.1 and foolishly upgraded to take "advantage" 5.1... the schematic synthed and routed fine under 4.1 Any Ideas??? Thanks;

Reply to
Stefano Trucco
Loading thread data ...

Hi Stefano, I got the same problem as you.. (Foolishly upgraded to 5.2.03i)

Is there some way to get an answer from the Xilinx guys? Thanks a bunch. ========================================================================= Low Level Synthesis * ========================================================================= Library "C:/Xilinx/data/librtl.xst" Consulted WARNING:Xst:528 - Multi-source in Unit <sha2> on signal <h_rnm0_0> not replaced by logic Signal is stuck at GND WARNING:Xst:528 - Multi-source in Unit <sha2> on signal <g_rnm0_0> not replaced by logic Signal is stuck at GND WARNING:Xst:528 - Multi-source in Unit <sha2> on signal <f_rnm0_0> not replaced by logic Signal is stuck at GND WARNING:Xst:528 - Multi-source in Unit <sha2> on signal <e_rnm0_0> not replaced by logic Signal is stuck at GND WARNING:Xst:528 - Multi-source in Unit <sha2> on signal <d_rnm0_0> not replaced by logic Signal is stuck at GND WARNING:Xst:528 - Multi-source in Unit <sha2> on signal <c_rnm0_0> not replaced by logic Signal is stuck at GND WARNING:Xst:528 - Multi-source in Unit <sha2> on signal <a_rnm0_31> not replaced by logic Sources are: b_rnm0_0:Q, a_rnm0_31:Q ERROR:Xst:415 - Synthesis failed CPU : 3.89 / 4.38 s | Elapsed : 4.00 / 4.00 s

Reply to
Yen

Took cared of the dumb problem.. It seemed XST (5.2) does not like a 'wire type' output port driven by a reg output (e.g. 'Q'). A 'wire type' output driven by combi logic is okay tho. For example, I have output [127:0] a; reg [31:0] c,d,e,f; a[31:0] = c; a[63:32] = d; etc. will cause some 'a' wires to 'stuck'..

Reply to
Yen

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.