Newbie with ISE 9_2_02i_lin gets error : Process "Translate" failed

Downloaded ISE 9.2i day before yesterday. Installed t9_2_02i_lin update and am just going through the counter example in the "ISE 9.1i Quick Start Tutorial" that is in .../doc/usenglish/books/docs/qst/qst.pdf

Everything works until I try to enter the timing constraints. Double- clicking "Create Timing Constraints" runs the implement_design function which ends with the error: Process "Translate" failed.

This seems similar to a posting by Matthias Alles about "xst fails..." and I did a process_cleanup_files as suggested in that thread.

My output is given below.

Any ideas how to get past this?

thanks Bob Smith

Reading design: counter.prj

=========================================================================

  • HDL Compilation * ========================================================================= Compiling vhdl file "/Xilinx/bob/tutorial/counter.vhd" in Library work. Entity compiled. Entity (Architecture ) compiled.

=========================================================================

  • Design Hierarchy Analysis * ========================================================================= Analyzing hierarchy for entity in library (architecture ).

=========================================================================

  • HDL Analysis * ========================================================================= Analyzing Entity in library (Architecture ). Entity analyzed. Unit generated.

=========================================================================

  • HDL Synthesis * =========================================================================

Performing bidirectional port resolution...

Synthesizing Unit . Related source file is "/Xilinx/bob/tutorial/counter.vhd". Found 4-bit updown counter for signal . Summary: inferred 1 Counter(s). Unit synthesized.

WARNING:Xst:2734 - Property "use_dsp48" is not applicable for this technology.

========================================================================= HDL Synthesis Report

Macro Statistics # Counters : 1 4-bit updown counter : 1

=========================================================================

=========================================================================

  • Advanced HDL Synthesis * =========================================================================

========================================================================= Advanced HDL Synthesis Report

Macro Statistics # Counters : 1 4-bit updown counter : 1

=========================================================================

=========================================================================

  • Low Level Synthesis * =========================================================================

Optimizing unit ... implementation constraint: INIT=r : count_int_3 implementation constraint: INIT=r : count_int_2 implementation constraint: INIT=r : count_int_1 implementation constraint: INIT=r : count_int_0

=========================================================================

  • Partition Report * =========================================================================

Partition Implementation Status

-------------------------------

No Partitions were found in this design.

------------------------------- WARNING:ProjectMgmt - "/Xilinx/bob/tutorial/counter.ngc" line 0 duplicate design unit: 'Module|counter'

Process "Synthesize" completed successfully NotUpToDate:generated file list is cmd ngdbuild -ise "/Xilinx/bob/tutorial/tutorial.ise" -intstyle ise -dd _ngo -i -p xa9500xl "counter.ngc" counter.ngd is cmd

Command Line: ngdbuild -ise /Xilinx/bob/tutorial/tutorial.ise -intstyle ise -dd _ngo -i -p xa9500xl counter.ngc counter.ngd

Reading NGO file "/Xilinx/bob/tutorial/counter.ngc" ...

Checking timing specifications ... Checking Partitions ... Checking expanded design ...

Partition Implementation Status

-------------------------------

No Partitions were found in this design.

-------------------------------

NGDBUILD Design Results Summary: Number of errors: 0 Number of warnings: 0

Writing NGD file "counter.ngd" ...

Writing NGDBUILD log file "counter.bld"...

NGDBUILD done.

Process "Translate" failed

Reply to
Bob Smith
Loading thread data ...

).

design unit:

xa9500xl

Read the line:

WARNING:Xst:2734 - Property "use_dsp48" is not applicable for this technology.

Reply to
manolete

[snip]

No, this warning will not cause a Translate failure.

--
Joe Samson
Pixel Velocity
Reply to
Joseph Samson

Thanks for the reply. Do you have any idea what to do to get rid of this error?

thanks Bob Smith

Reply to
Bob Smith

Just as a follow-up --- the problem went away when I switched from Ubuntu to Fedora Core 5.

Bob

Bob Smith wrote:

Reply to
Bob Smith

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.