newbie question

Hello,

I want to start learning VHDL (or VERILOG) and FPGA programming. I have ISE 6.1 and some FPGA board. Where is the best place to start? I am an experienced C/C++ programmer.

What I need to develop a simple code in VHDL (or VERILOG)?

How should I compile route and place and.. ?

How can I see the output?

Best regards

Reply to
ma
Loading thread data ...

A VHDL (or VERILOG) simulator.

Don't worry about that until your simulation is OK.

On the simulation waveforms.

-- Mike Treseler

Reply to
Mike Treseler

Xilinx has "Getting started" tutorials for ISE.

formatting link

This is the first place you should look. This will teach you how to use the tools and give you sample VHDL code to try.

To learn VHDL:

1)buy a book 2)Read book 3)try examples in ISE tool set

I'm pretty happy with "VHDL PRIMER Third Edition" by J. Bhasker,

My only complaint with this book is it doesn't differentiate between synthesizable code and code for simulation only.

If you don't understand what I just said buy "The Design Warrior's Guide to FPGA's" by Clive Maxfield. This is a very good beginner book. This book won't show you how to code VHDL or Verilog, but it will explain how FPGA's work and the common "jargon" used when talking about FPGA's.

There are lots of free VHDL tutorials online, but they are free for a reason. Nothing can substitute a good book as a reference.

That's my two cents,

Eric

Reply to
Eric

Yes, that is true. I am also looking for a good book which explains how to code for synthesizability. Somebody please suggest a book!

Reply to
Praveen

"code" is an interesting word. Yes, VHDL and VERILOG are programming languages, but you are really trying to design hardware.

If you don't know the basics of hardware, that's probably the place to start.

--
The suespammers.org mail server is located in California.  So are all my
other mailboxes.  Please do not send unsolicited bulk e-mail or unsolicited
 Click to see the full signature
Reply to
Hal Murray

Thanks. Where can I get a good and free simulator one? I know that good ones aren't free but please advise one that is free and better than others!

Best regards

Reply to
ma

Hello Ma,

I started digital design as an experienced programmer. I'm sure other people who have followed the same learning path will tell you that this can actually be a real disadvantage.

Your programming habits and developments methods will generally not migrate well to digital design.

Thinking VHDL or Verilog is a programming language is the first trap to avoid, this leads you to think that you can use the same development methods. For example, while it's easy to start small programming projects by just hacking out a few classes, and modifying the design as you go along (a bad habit of course) I personally found this approach totally impractical for digital design work.

I don't mean to dampen your spirits, simply to save you a lot of frustating hours on the learning curve. Before you jump in head first I would suggest reading some good books that include information on HDL inference and synthesis if you haven't already, as this maybe particulary hard to get to grips with for programmers.

I'd suggest VHDL Design Representation and Synthesis, by Armstrong and Gray, although I'd read this after one of Peter Asheden's books.

As for tools, well both Xilinx and Altera have free tools so I'd start there.

Just my 2cents.

Andy.

Reply to
andyesquire

formatting link

-- Mike Treseler

Reply to
Mike Treseler

Thanks for advice.

I am actually a hardware designer. I graduate as an electronic engineer and did a lot of digital and analogue design (I even designed an RF system on silicon (.6micron technology CMOS)).

I also wrote a lot of device driver and now I am writing device driver for FPGA boards and for this reason I have access to some advanced FPGA boards. The FPGA section normally designed by others and I only do the software section but I think I would learn VERILOG to find what they are doing and probably changing some part of their design so it will fit better to software that I design.

I also have an old FPGA with Xilinx SPRATON which connects to PC by parallel port. I think I would start learning how to program it and then I can move to some boards that will be installed on PCI bus.

I have ISE 6.3 but I don't have any simulator. Any suggestion for a good free simulator?

Best regards

Reply to
ma

Hi, You can also download a free (limited) version of Modelsim's simulator from the same site that you can get Xilinx's free ISE tools (called webpack). The free simulator will start to slow down on larger files, but it still runs!

A previous response mentioned the tutorials from Xilinx. Another source are the appnotes on

formatting link
.

Good luck with your FPGA designs.

Phil

Reply to
pnowe

Start by forgetting everything you know about C. This is hardware design. Envision the circuit you want to create, and then write the HDL to produce that circuit. Treating it like software is bound to cause you no end of frustration.

--
--Ray Andraka, P.E.
President, the Andraka Consulting Group, Inc.
 Click to see the full signature
Reply to
Ray Andraka

If your team is using X language and not Y, then it will be prudent to follow.

The word programming can rub some HW guys off the wrong way, it can only safely be used to infer downloading the bit file to FPGA or PROM.

Most HW guys design or develop or engineer HW, those that "program" are coming from the SW side with all that baggage of sequential thinking rather than parallel.

Since you have a HW background, I'd suggest the Doug Smith book, which I suggested a thousand times already., google douglas smith verilog vhdl fpga asic

It covers X/Y languages examples for FPGA and ASIC, it is not a HW teaching book, it assume you know basics. it gives schematics, hdl for X/Y and synthesized output.

Also Palnitker is recomended, initially Verilog, I think its been VHDLed.

Why didn't you ask your HW co workers, most EEs would be more than willing to help a co worker get interested in what they are doing. You may even graduate into the team after brushing up.

I had the same issue, when I did the deep guts of the HW ASIC/FPGA and some interface to their SW, it took a year before I could convince the driver HW-SW guy to do his own damn HW interface that would work with his own damn SW. Eventually he did and the results were far better for all concerned.

The problem I always find is not enough people who know both sides and can think laterally enough to design the right HW interface to SW.

Usually if the interface between HW-SW is done by HW guy with no clue about SW stack and the SW guys don't even know how to describe FSMs then you get pretty poor results.

look like you could help out on both sides

good luck

johnjakson at usa dot com

Reply to
JJ

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.