need some help with altera quartus

Hi all,

To learn VHDL and FPGAs, I bought a number of boards, one of them being this one:

formatting link

It's a Altera cyclone IV with 16 Mbit of serial flash (M25P16/EPSC16) to store the configuration file.

Next to that, I have a USB Blaster.

Now, I am able to create a "blinky" test design and program the device using the "jtag" programming mode, but -of course- in this senario the configuration is lost after a reset or power-cycle.

Can somebody explain how exactly to program this device so that the configuration is stored inthe serial flash device?

Sofar, I found that

- in the programmer, you can add a flash-device to the fpga chip

- there are things called "secundary programing files" and there is an option "convert programming files" under the "files"-menu.

But, for the rest, the more I read quarus help website, the less I understand this all. The number of files and options there exists only seams to go up and -as we say in dutch- it's become very hard to see the forest through the trees. :-(

Can somebody give a little more information what exactly I need for this? Exactly what file do I need to create?

Any help is welcome!

Cheerio! Kr. Bonne

Reply to
kristoff
Loading thread data ...

From memory (since I haven't done this in a while) you need a .jic file and you create that from your .sof file in the "convert programming files" dialog. You need to specify the flash type as well and as I recall, the UI isn't very intuitive. I think I have a script somewhere which does it on the command line, easier to share on Usenet...

Reply to
Anssi Saari

You need to attach a serial flash loader to your design, this interfaces the jtag socket to your flash device. In the serial flash loader megawizard do not tick Share ASMI interface or Use enhanced mode SFL boxes. Connect the noe_in pin on the instatiated loader to vcc (this should be the only pin.

To program the device you need to generate a *.jlc file using the quartus file convertor.

Hope that helps

Andy

Reply to
Andy Bennet

Andy, Anssi,

(...)

Both thanks for replying. It really helps to know at least what file you need to create :-)

to sum it all up (for the archive of this NG, if somebody else might have the same issue).

I managed to do it like this:

- synthesis the VHDL design -> this creates a .sof file in the "output_files" directory

- file -> convert programming files programming-type = .jic configuration-device = (in my case) epcs16 input-files: flash-loader -> click on "add device" -> select your fpga (in my case) ep4ce10 sof data -> click on "add file" -> select your .sof file click on "generate" and then "close"

- go to the programmer: choice "add file" -> select the .jic file

Then program as normal.

Pff!

(I think I'll just program it in memory. That is a lot faster!).

It sure did. Thanks!

Cheerio! Kr. Bonne.

Reply to
kristoff

Perhaps this application note will answer your question:

formatting link

--
Andy McC
Reply to
Andy McClelland

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.