nco and phase detector

I'm an analog engineer who's familiar with analog PLL's but not with digital PLL's. I've been searching the internet for the NCO equivalent of Kvd of an VCO and the Kphi of an 'exclusive or' phase detector. I thought if I had those, I could use the analog transfer functions to analyzer a PLL.

Also, can you point me toward any NCO vendor webpages that would have some application note using their product in an DPLL.

Thanks for any help.

D. Kruse

Reply to
D. Kruse
Loading thread data ...

Hello, from my understanding NCO and PLL are two different concepts for freuqncy synthesis. There are no feedback loops in an NCO.

formatting link
Search for:Direct Digital Synthesis (DDS)

For sure you can combine NCO + PLL in an advanced concept.

formatting link

Regards, Andreas

Reply to
Andreas Schwarz

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.