multi-source errors

Any help is appreciated. I get errors of the following sort:

"Multi-source in Unit on signal ; this signal is connec= ted to multiple drivers."

The source for the entire unit in question is as follows:

module tst_1( input rst, input clk, output [7:0] led ); parameter s_0 =3D 2'b00; parameter s_1 =3D 2'b01; parameter s_2 =3D 2'b10; parameter s_3 =3D 2'b11;

reg [1:0] state; reg [7:0] ram[0:15];=20 reg [7:0] regs[0:7];

initial begin state

Reply to
smileforthecamerahotshot
Loading thread data ...

Any help is appreciated. I get multi-source errors on signals that are being driven by different states in the same FSM and I'm not sure why. Here is one of the multi-source errors that I get:

"Multi-source in Unit on signal ; this signal is connected to multiple drivers."

and here is the source for the entire unit

module tst_1( input rst, input clk, output [7:0] led ); parameter s_0 = 2'b00; parameter s_1 = 2'b01; parameter s_2 = 2'b10; parameter s_3 = 2'b11;

reg [1:0] state; reg [7:0] ram[0:15]; reg [7:0] regs[0:7];

initial begin state

Reply to
sftchs

to multiple drivers."

Reply to
Gabor

It isn't so obvious to me how to read this.

It seems that it should depend on what is available as RAM resources.

Reply to
glen herrmannsfeldt

nnected to multiple drivers."

Reply to
smileforthecamerahotshot

connected to multiple drivers."

[code snipped]

ram[15][0]... but not ram[15][1]. Since the signals in question are all driven from different states within the same FSM, I am not sure why this is considered multiple drivers. I am sure this is something obvious to the well-informed. Any ideas?

WebPACK 9.2i 32-bit and get the same errors. I have tried targeting an XC3S500E and an XC3S1600E. One thing that I noticed is that if I change the s_0 state to do this "ram[15][7:0]

Reply to
Gabor

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.