Modelsim SE 6.2c trying to use Xilinx ISE 9.1i simulation libraries... not working.

Hi All,

Hopefully this is a simple fix... I complied Xilinx simulation libraries with COMPXLIB... and it seemed to worked fine. The modelsim.ini was changed to point to the new libraries. I checked ModelSim to see if xilinx libraries were in the library window (panel/tab), and they were as expected.

When I start to compile my design, I see this message (not warning or error):

# Referenced (but uncompiled) modules or primitives: # IBUFG # BUFG # DCM

So it seem to compile file, until I try to simulate my design, I see this error:

# ** Error: C:/XXX: Module 'IBUFG' is not defined. # ** Error: C:/XXX:: Module 'BUFG' is not defined. # ** Error: C:/XXX: Module 'BUFG' is not defined. # ** Error: C:/XXX: Module 'DCM' is not defined. # ** Error: C:/XXX: Module 'IBUFG' is not defined.

I cheked the UNISIM_VER library.. and I see all three modules... but for some reason, the tool doesn't pick up the library.

I have "-y C:/Xilinx91i/verilog/mti_se/unisims_ver +libext+.v" but this doesn't seem to work.. Under that directory... all i see are folders with "@a@f@i@f@o36_@i@n@t@e@r@n@a@l" instead of seeing DCM.v or BUFG.v or IBUFG.v.

any clue?

Thanks for reading this.

-Tony

Reply to
Tony Thai
Loading thread data ...

Which modelsim.ini?

Typically modelsim creates one in each working directory; that in force when you invoked compxlib may NOT be the same as the one in your project working directory.

You may need to edit the unisim library mapping into your project's modelsim.ini, or even delete an incorrect one if you acquired the project from somebody else...

- Brian

Reply to
Brian Drummond

Hi Brian,

Thanks for the help. I checked (by doing a search), and I only have 1 modelsim.ini file under the install directory.

with the vlog command, do I have to use the "-y" or "-v" or some other option to tell the tool to look for the "Referenced (but uncompiled) modules or primitives" in the library dir? It seems like I have to.. don't I.

If someone has used a xilinx modules, do you compile (vlog) with any options? if yes, can you post an example. if not, can you post what directory your unisims_ver libraray in your modelsim.ini points to.

I want to compare if it is the same as mine: UNISIMS_VER = C:\Xilinx91i \verilog\mti_se\unisims_ver

Thanks,

-Tony

Reply to
Tony T

just thought that I would post the solution for all those who might run into the problem in the future.

when doing simulation use:

"vsim -lib work -unisim_ver "

to make it search for other compiled libraries other than default (work)... eg when it says "Referenced (but uncompiled) modules or primitives:"

hope this made someone's life easier.. =)

Reply to
Tony T

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.