ModelSim PE exit code 211

When I try to run a timing simulation (simprim is used) modelsim pe student exits with fatal error and exit code 211. Modelsim XE works fine, but sloooow. Does anybody has some experience with this problem and an advice maybe?

Reply to
Markus
Loading thread data ...

Try to run Modelsim in command line mode (vsim -c) you sometimes get some extra info. Also make sure that all your primitive libraries are compiled (use compxlib) with the version of Modelsim you are using,

Hans

formatting link

Reply to
HT-Lab

Thanks for your answer. The libraries are compiled, they were found and used. Modelsim exits with the fatal error (pop up opens) and writes nothing more to console or logfile. The error occurs in the moment, when all files and libs are already found and used and the simulation starts. In the moment, when another simulation changes the monitor and opens a wave or sth. like that (the moment when the real simulation should start).

--- Original Nachricht --- Absender: HT-Lab Datum: 20.03.2007 14:46

Reply to
Markus

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.