ModelSim - Do Files

Hopefully this won't have to be a lesson in Tcl. Here is my problem. I am using ModelSim with Xilinx ISE. I will make a simulation and arrange the signals in the order I would like them. If you hit "File" and then "Save" a box pops up asking "Save waveform formats?" and then it lists the path to the do file. I click OK and expect the waveform formats to be saved, and they are not. The reason they are not is that every time you re-run the simulation by clicking "Simulate Behavioral Model" an automatic do file is used and the waveforms revert to the default order. If you highlight "Simulate Behavioral Model" and hit "properties" there is an option to use a custom do file. If I try that I get to the "VSIM" prompt, but no luck after that. I assume the "automatic" option does a bunch of stuff for you. I'm guessing there is a command or set of commands that will allow you to run your simulations without making the waveform go back to the default order. Can someone help me with this? BTW, what if you wanted to have multiple do files? Would the solution be the same? Thanks

Reply to
pete o.
Loading thread data ...

try "add wave" from the modelsim prompt.

formatting link
formatting link

Reply to
Mike Treseler

formatting link
?q=vsim+vcom+vmap

I would more likely recommend that you tried "run file.do" from modelsim. It will launch your file.do file.

Reply to
Arnaud

Can't you save the DO file under a different name, and run that Do file later from the Modelsim prompt?

- Brian

Reply to
Brian Drummond

Hi Pete, the do-file generated by ise-pn is called *.fdo. There's another one called *.udo (read: YOU-DO :-) ) which will be called from the *.fdo file. Just put some lines like

do my_waveform_format.do

etc. into that file. once it exists, it will not be overwrittten by ise-pn anymore.

Take a look into the *.fdo file to see when it is called, and what happens before and after.

The even better way is to rename the *.fdo file. (e.g. mysim.do) Now you can start modelsim separately and call that file and modify it to your needs. As long as you don't add new files to your project you can even do changes to your vhdl or verilog sources if you need to and still use the same script for simulation again and again without ever leaving modelsim or need to have the ise tools running.

As long as the files are readable ASCII, use the magical powers of your commandline and text editor. :-)

Have a nice simulation Eilert

pete o. schrieb:

Reply to
backhus

Delete all the current waveforms if they're not organized or colored as you want, then open the saved "do file" to load your format, then re-run all,

Happy simulation,

Reply to
Marlboro

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.