Manually creating a LUT in VHDL

Does anyone know if it is possible to define the values in a lookup table using VHDL compatible with Xilinx tools? If so, does anyone have an example?

Thanks,

David

Reply to
David
Loading thread data ...

Yes it is possible. The following URL will give some information for example:

formatting link

(Answer record 10068)

/Andreas

Reply to
Andreas Ehliar

formatting link

Reply to
Tim

I'm not sure which you are asking for: A generic look-up containing your data coded as RTL, or instantiating a LUT-4 primitive with your init data.

For the former:

type int_array is array(natural range ) of integer; constant lut_data: int_array(0 to 15):= (0,1,2,3,4,5,6,7,7,6,5,4,3,2,1,0); signal lut_addr: unsigned(3 downto 0); signal lut_out: unsigned(7 downto 0); attribute syn_keep of lut_out: signal is true; begin

LUT_out

Reply to
Ray Andraka

Thanks, all of your posts were very helpful.

David

Reply to
David

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.