loading unisim in modelsim problem while testin xilinx ipcore

Hellow, i try to test xilinx IP core and with modelsim but it give error regarding unisim library # Reading D:/Modeltech_pe_edu_6.3c/tcl/vsim/pref.tcl # do {testfft.fdo} # ** Warning: (vlib-34) Library already exists at "work". # Model Technology ModelSim PE Student Edition vcom 6.3c Compiler

2007.09 Sep 11 2007 # -- Loading package standard # -- Loading package std_logic_1164 # ** Error: fftk4.vhd(37): Library unisim not found. # ** Error: fftk4.vhd(38): (vcom-1136) Unknown identifier "unisim". # ** Error: fftk4.vhd(39): (vcom-1136) Unknown identifier "unisim". # ** Error: fftk4.vhd(41): VHDL Compiler exiting # ** Error: D:/Modeltech_pe_edu_6.3c/win32pe_edu/vcom failed. # Error in macro ./testfft.fdo line 5 # D:/Modeltech_pe_edu_6.3c/win32pe_edu/vcom failed. # while executing # "vcom -explicit -93 "fftk4.vhd""

what should i do?

Reply to
kian.zarrin
Loading thread data ...

formatting link

Reply to
Mike Treseler

I think you have not compiled libraries in xilinx keeping target browser as modelsim. you can do this by selecting the project's fpga package in (on left top browser), in properties u can assign target browser. After u do this when u highlight the package in browser u'll see compile hdl libraries in the window below. compile it and libraries will be compiled in installed XILINX folder(search tht) change the the pref .tcl if ur confident about procedure to add libraries with path or in modelsim u can add libraries just mention the path. after u do this u shld see all the sim libraries (unisim, primsim and coresim) in the modelsim library window(below work library)

Reply to
bvkrock

you need to compile the unisims library into work library. In verilog "-y unisim_path +libext+.v" serves the purpose. Look into "xilinx synthesis and simulation guide' (from google search) it has the complete procedure

Reply to
deltabravosingh

Dear bvkrock Thank you for your help and sorry for this late response. i was trying to follow your procedure for several month and i just got what i should do. i compiled the libraries in the xilinx ISE program as you said. i didn't di any furthur steps and just run modelsim and got no errors. i didn't understood how and what libraries should i add in modelsim but i think i since it is working, it is fine. i hope i encounter no further issiues. with thanks kian PS: just let me explain these stuff again in other words for other people use: to get the unisim library to wrok, you should compile libraries of the fpga you are using. to do this:

1-open the xinlix program. 2-on the source browser window (on the top left) click on the FPGA package. 3-right-click and choose properties and select modelsim PE as simulator if you haven't(if you are using modelsim pe version) 4-when you select the fgpa package in the source browser window, several options apear on the process window (window below source browser). open design utilities and run compile hdl simulation libraries. (if u get error regarding folder is cant be removed, restart your computer and make sure only xilinx prgram is open). 5-now libraries are compiled. if you want to know where are they compiled, see the console window.
Reply to
kian.zarrin

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.