Ise Flow with PowerPC

Hi, I am very interesting in how could I use ISE to create a PowerPC model. I know that there is a Export to Project Navigator, but it doesn't seem to work fine. First, I use EDK to create my PowerPC model with peripheral, dcm_modules,... Then I open ISE and add the system.xmp from EDK. Then I instantate and I get the system_stub.vhd which I save at the project navigator folder and I add to the project, so my solution is:

system_stub.vhd system.xmp system.ucf

But I cannot see the complete vhdl code. For example I cannot see the code from the dcm_module, the code from the util_vector_module, etc.

I know that quite a lot designers use this ISE Flow, but how can they see the complete project in vhdl.

Thanks, and Regards

Pablo

Reply to
Pablo
Loading thread data ...

The VHDL for the EDK IP is not available. You can go to $XILINX_EDK/hw/XilinxProcessorIPLib/pcores to see the IP that can be instantiated from EDK. There are VHDL wrappers that instantiate black boxes. In some cases (plb_ethernet) there is encrypted VHDL.

--
Joe Samson
Pixel Velocity
Reply to
Joseph Samson

So the only thing I could edit is the system_stub.vhd and the system.vhd with inputs and outputs. Cores couldn't be edited. Correct me?

Reply to
Pablo

Yes you are right..

Reply to
subint

Have you looked in $XILINX_EDK/hw/XilinxProcessorIPLib/pcores ?

For example, for the dcm_module, there should be in there the directory dcm_module_v1_00_a/hdl/vhdl which contains the code for the dcm module. If you wanted to edit the code, you would create a directory "pcores" in your project, copy the core to there and give it another name, edit the various files there, and use it within your project.

Reply to
Duane Clark

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.