ISE and IEEE.Fixed_pkg (fixed point math for synth?)

I'm trying to use the proposed IEEE.fixed_pkg (fixed-point math package) available here:

formatting link

The simulator doesn't complain (GHDL in this case), but ISE doesn't seem to like the negative values in ranges, like:

variable exp_value_fp : ufixed( 0 downto -17);

I get this message:

ERROR:Xst:1548 - c:/phil/vhdl/svm/../csvm.vhd line 60: Negative range in type of signal is not supported.

1) does fixed_pkg work with other synthesis tools? 2) Suggestions for other alternatives to fixed_pkg that might work with ISE?

Phil

Reply to
Phil Tomson
Loading thread data ...

I don't know of any synthesis tool which supports fixed or floating point at the moment. You could write your own fixed point representation which will synthesise.

Reply to
Jezwold

Actually, I was told that the ieee.fixed_pkg works with Altera, Mentor and Synplicity synthesis tools (as long as you comment out the alias statements in it). IIRC synopsys was also on the list... But ISE was missing from the list.

Phil

Reply to
Phil Tomson

Phil, This would be a bug in XST. It would be helpful to submit it to them as such.

Cheers, Jim

--
~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
Jim Lewis
 Click to see the full signature
Reply to
Jim Lewis

I'm using ISE 6.2i, does anyone know if it works in 6.3*?

Phil

Reply to
Phil Tomson

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.