Is while loop synthesizable if the number of iterations is known

Is while loop synthesizable if the number of iterations is known If yes then how come for is not synthesizable

I heard for is not synthesizable is that true

Reply to
thejay
Loading thread data ...

For constant limits on an integer value it should work:

integer i; always @(posedge clk) if (ce && ~rst) begin for (i = 0; i < depth - 1; i = i + 1) fifo[i]

Reply to
Ben Jackson

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.