IPIF

Hello:

I am growing old trying to connect a simple peripheral to the user register ipif. I first created the template using the create/import peripheral wizard. If I try to implement any of my components in the user_logic.vhd file, that were derived from vhdl functional models from schematics I get errors like below. No matter how simple the schematic.

ERROR:NgdBuild:466 - output pad net 'scda' has illegal connection. Possible

pins causing this are:

pin I0 on block scda_rt with type LUT1

Any help would be greatly appreciated.

Fayette

Reply to
Fayette Sims
Loading thread data ...

Hall

I have solve this problem with instation of IBUFs and OBUFs an

connect them to the inputs and outputs of component

But on the outputs of components i get still an Error in the EDK bu

not in the ISE

ERROR:NgdBuild:455 - logical ne

'myasimon/myasimon/USER_LOGIC_I/dataout' ha multiple drivers. The possible drivers causing this are pin dataout on bloc myasimon/myasimon/USER_LOGIC_I/Inst_test with typ test pin PAD on block myasimon/myasimon/USER_LOGIC_I/dataout

Reply to
digi

Hi everybody

Does somebody found a solution. I have the same proble

MArti

Reply to
xiuser

At first you imlement your Core to IPIF User Logic, then you mus reimport you Core with Creat/Import Wizard. And by reimporting yo must take no PAO file but a PRJ File from your IPIF Topdesign

Reply to
digi

Is it a hard and fast rule that we map the signals/ports of the use logic to the ports of the OPB/PLB, or is it enough if we cna map the to the IPIF signals

Say User logic has some ports namely, clk, reset of std_logic, inpu

and output of std_logic_vector. Now is it enought if we map thes ports to the IPIF, which is the negotiator between OPB logic and use logic??.

The question is, is it needed to map the reset to OPB_Rst, clk t

OPB_CLK etc, (or) is it enough if we map clk to Bus2IP_clk, reset t Bus2IP_Reset, and input and output to the Bus2IP_Dbus an IP2Bus_Dbus

I will greatly appreciate if someone throws a clear picture about thi

issue

Thanks and Regards

Chak

Reply to
nara_chak45

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.