Interface Bidir IO datalines to dualport RAM within FPGA - URGENT

====== URGENT ======

I have a small query and if possible do help me on this issue.

| |FPGA |========= | -->| Din Processor| | This is my dual port memory data line |

Reply to
karthik
Loading thread data ...

I'm assuming a synthesis tool cannot work with the 'process' block as you have it coded. Otherwise, you may find support in comp.lang.vhdl forum.

You should describe the registered input path as one process, the registered output path as another, and the tristate as a continous assignment.

-- Registered Input path process(ebmclk) begin if (ebmclk'event and ebmclk='1') then datain HAPPENS

--
/ 7\'7 Paulo Dutra (paulo.dutra@xilinx.com)
\ \ `  Xilinx                              hotline@xilinx.com
/ /    2100 Logic Drive                    http://www.xilinx.com
\_\/.\ San Jose, California 95124-3450 USA
Reply to
Paulo Dutra

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.