integrate microblaze in ISE and VHDL code

Dear all,

I'm beginner to use microblaze. I made a simple program to read two numbers from uart and add them using microblaze.

For further purposes I want to integrate the microblaze as subcomponnet in a top level VHDL code, I need to get the two numbers read from the uart (for the microblaze operation) in another vhdl component.

How could I do that??

Thanks

--------------------------------------- Posted through

formatting link

Reply to
rody786
Loading thread data ...

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.