initializing custom memory with .mif (or .hex) in Quartus 3

Hi all,

I use a small memory block in my design. It's a hand-coded (VHDL) dual-port ram, that's recognized by Quartus as memory. However, when synthesizing, Quartus rightfully complains that no initialization was set to the memory. I looked in the help, but found no way to initialize MY memory with a .mif file - only a Quartus generated mega-function memory, which I don't want to use.

I have a strong feeling that it's just a simple attribute in the code, but I just can't find it anywhere in Quartus' docs. Any ideas ?

TIA Eli

Reply to
eliben
Loading thread data ...

Hi Eliben, The current version of Quartus 4.1 requires the use of a mega-function generated memory for RAM initialization. We are working on the ability to initialize a memory from within the HDL in one of our 2005 releases.

- Subroto

Reply to
Subroto Datta

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.